Welcome![Sign In][Sign Up]
Location:
Search - Verilog svpwm

Search list

[VHDL-FPGA-VerilogSPWM

Description: VHDL采用自然采样法写的SPWM,里面有正弦表,可以通过外接输入正弦波和三角波的频率。 -VHDL using written natural sampling SPWM, there are sine table, you can enter through the external sinusoidal and triangular wave frequency.
Platform: | Size: 7168 | Author: zyb | Hits:

[VHDL-FPGA-Verilogsvpwm-programm-important

Description: SVPWM的英文程序,包括前期的详细理论分析,最后配有程序。非常好的参考。-SVPWM English procedures, including details of previous theoretical analysis, the last with a program. Very good reference.
Platform: | Size: 449536 | Author: | Hits:

[VHDL-FPGA-Verilogste_svpwm

Description: 实用Verilog编写的SVPWM程序,产生出SVPWM波形,可用于实现同步电机或者异步电机的空间矢量控制算法。-Practical Verilog of SVPWM written procedures, resulting in the SVPWM waveform can be used to implement the space vector control algorithm of the synchronous motor or induction motor.
Platform: | Size: 3335168 | Author: zhouming | Hits:

[Otherzixiechengxu

Description: 用verilog编写的包含有与DSP通信,三电平svpwm实现的程序,-Written in verilog contains communicate with the DSP, three-level svpwm realize the procedures
Platform: | Size: 14814208 | Author: wangrui | Hits:

[VHDL-FPGA-VerilogSvpwmm

Description: Verilog HDL 写的SVPWM 算法的实现,使用的是altera 风暴系列的FPGA,占用资源1w+逻辑宏单元-Verilog HDL ,SVPWM
Platform: | Size: 21296128 | Author: neme | Hits:

[VHDL-FPGA-Verilogsvpwm

Description: SVPWM verilog source code
Platform: | Size: 14322688 | Author: mohamed | Hits:

[VHDL-FPGA-Verilogsvpwm_full_nios

Description: 实现verilog的svpwm 对于算法开发有很好的帮助。。希望大家多多学习了。(Implementation of verilog svpwm for the development of the algorithm has a very good help. The I hope you learn a lot.)
Platform: | Size: 14814208 | Author: 头上两只角 | Hits:

[VHDL-FPGA-VerilogFPGA_program

Description: 采用verilog实现RTLAB多路驱动程序(Using Verilog to achieve RTLAB multi-channel driver)
Platform: | Size: 1695744 | Author: annayang1986 | Hits:

[VHDL-FPGA-VerilogTwo_Level_SVPWM

Description: 代码为两电平SVPWM调制算法的Verilog程序。包括扇区划分、时间计算、死区控制等。(The code is the Verilog program of the two level SVPWM algorithm. It includes sector division, vecter calculation, dead zone control and so on.)
Platform: | Size: 6145024 | Author: FollowSky | Hits:

[Embeded-SCM DevelopSvpwmm

Description: 用verilog语言对svpwm的仿真出来,可用于交流电机调速(Using Verilog language to simulate SVPWM, it can be used for AC motor speed regulation.)
Platform: | Size: 29896704 | Author: 曹俊鹏 | Hits:

CodeBus www.codebus.net